Qucs-s

Jul 20, 2023

Quite Universal Circuit Simulator: GUI for circuit simulation kernels

Qucs-S is a spin-off of the Qucs cross-platform circuit simulator. ā€œSā€ letter indicates SPICE.

Qucs-S project uses free SPICE circuit simulation kernels to sumulate circuits. It merges the power of SPICE and the simplicity of the Qucs GUI.

Backends

  • Ngspice is the default backend recommended for use in Qucs-S. Ngspice is powerful mixed-level/mixed-signal circuit simulator. Most of industrial SPICE models are compatible with Ngspice. It has an excellent performance for time-domain simulation of switching circuits and powerful postprocessor.
  • XYCE is a new SPICE-compatible circuit simulator written by Sandia from scratch. It supports basic SPICE simulation types and has advanced RF simulation features such as Harmonic balance simulation.
  • SpiceOpus is developed by the Faculty of Electrical Engineering of the Ljubljana University. It based on the SPICE-3f5 code.
  • Qucsator as backward compatible.


Checkout these related ports:
  • Zcad - Simple CAD program
  • Z88 - Compact Finite Element Analysis System
  • Yosys - Yosys Open SYnthesis Suite
  • Yosys-systemverilog - SystemVerilog support for Yosys
  • Xyce - Xyce electronic simulator
  • Xcircuit - X11 circuit schematics drawing program
  • Veryl - Veryl A modern Hardware Description Language (HDL)
  • Veroroute - PCB (printed circuit board) design software
  • Verilog-mode.el - Emacs lisp modules for the Verilog language
  • Verilator - Synthesizable Verilog to C++ compiler
  • Uranium - Python framework for 3D printing applications
  • Uhdm - Universal Hardware Data Model
  • Tochnog - Free explicit/implicit Finite Element Program
  • Tkgate - Event driven digital circuit simulator
  • Sweethome3d - Free interior 3D design application